Home| All soft| Last soft| Your Orders| Customers opinion| Helpdesk| Cart

Program Search:


Shopping Cart:




*Note: Minimum order price: €20
We Recommend:
Cadence XCELIUM 20.09.023 €60 buy download
× Cadence XCELIUM 20.09.023 Close
Cadence XCELIUM 20.09.023 | 32.5 Gb
Cadence Design Systems, Inc. , the leader in global electronic design innovation, is pleased to announce the availability of XCELIUM 20.09.023 (XCELIUMMAIN) is part of the Cadence Verification Suite and supports the company’s Intelligent System Design strategy, enabling pervasive intelligence and faster design closure.

× Cadence XCELIUM 20.09.023 Close
Defects fixed in XCELIUM20.09.001 =============================================
CCRID Product Title
–––––––- –––––––––––– –––––––––––––––––––––––––––
AVSREQ-127683 | JUPITER_BRIDGE | Jupiter simulation failure (was ~4x sim slow down)
AVSREQ-127594 | XPROPAGATION_GENERAL | xmvlog_cg: *E, NOTPAR with both xprop enabled and -access +rwc
AVSREQ-126960 | SIM_VHDL | Internal exception when calling finish with DPI present in environment
AVSREQ-126633 | LP_1801 | Use of * does not work with -isolation_signal
AVSREQ-126487 | MSIE_ELAB | Xcelium 20.07 AGILE crash in Assertion
AVSREQ-126165 | SPECMAN_E | OTF GC during string match causing wrong results
AVSREQ-126077 | SIM_SV | sv_seghandler coming from sv stream
AVSREQ-125037 | XPROPAGATION_GENERAL | X-Propagation Disabled for No if and no case in VHDL
AVSREQ-124836 | DMS_ELAB | Need to resolve amsspice warning about multiple -libmap and multiple -top, incorrect bindings since the provided libmap
AVSREQ-124817 | DMS_LP_AMS | LP AMS Elaboration Crash
AVSREQ-124765 | SV_CLASSES | Typed contructor Elab changes
AVSREQ-124708 | GLS_GENERAL | The number of UDP inputs allowed exceeds current maximum limit (15) . Is there any workaround possible for error UDPNIN?
AVSREQ-124687 | JUPITER_COMPILER | portbus with width greater than 2000 causing increase in elaboration time and memory
AVSREQ-124631 | SV_PERFORMANCE | xmelab performance - after cu_optimize::cu_zoptimize
AVSREQ-124597 | SPECMAN_INTEF | Driving list of bit port fails
AVSREQ-124582 | DEBUG_DESIGN_DATABASE | lwdgen performance issue - nested forgen
AVSREQ-124342 | XRUN_GENERAL | Option -autofetch is not properly managed along with other options
AVSREQ-124059 | LP_1801 | remove lps_enable_merge_ho_split from NEWPERF
AVSREQ-123828 | PROFILER_SIM_MEMORY | fanout information for expanded nets
AVSREQ-123765 | VPI_GENERAL | SYSTF INVHIER when passing hierarchy that includes space to -xmhierarchy
AVSREQ-123648 | LP_1801 | when lps_infer_upf_supply is used MULSPLY is not created when there are conflicting drivers
AVSREQ-123639 | DESIGN_DATABASE | Investigate: Parameter displayed as -1 instead of actual value in LWD - correct in Snapshot
AVSREQ-123592 | COVERAGE_ALL_COVERAGES | vManager Client crashes when trying to rank session runs including Formal runs - root cause is not clear
AVSREQ-123516 | DMS_LP_AMS | XMSIM internal error MESSAGE: ams_pwr_net2dms_enable
AVSREQ-123515 | SPECMAN_INTEF | Runtime error at method_port invocation from SV class instance
AVSREQ-123490 | UVM_SV | uvm_re_match returns wrong match result
AVSREQ-123442 | DEBUG_DESIGN_DATABASE | waveform annotation and power browser infromation for isolated modport signal is incorrect
AVSREQ-123339 | RAND_SOLVER | xmsim: *F,RNDUNR: XCELIGEN assertion failed - elem->is_field()
AVSREQ-123328 | JUPITER_SOUTH | internal error in South *F,MCEASRT
AVSREQ-123302 | FUNC_SAFETY_CONCURRENT | MESSAGE: sv_seghandler - trapno -1 addr((nil))
AVSREQ-123221 | GLS_GENERAL | Xcelium build crash "Illegal writer – cag_get_driving_expr" (xmelab)
AVSREQ-123174 | SIM_SV | xmsim *F sv_seghandler
AVSREQ-123158 | DEBUG_DESIGN_DATABASE | Indago does not support showing isolation rules on interface signals
AVSREQ-123149 | SV_GENERAL | -ii_write doesn't work for NBAs in packed MDAs - further enhancement
AVSREQ-123144 | LP_1801 | RD; Use dot as a hierarchy separator for generate blocks in 'find_objects'
AVSREQ-123143 | ELAB_BIND | Using verilog compiled configuration in vhdl: BILCNF-error when using makelib/endlib
AVSREQ-123116 | LP_1801 | update XRIO upf file to include location self
AVSREQ-123075 | ELAB_SV_VHDL | Allow writing of mixed OOMR (from SV terminating in VHDL) support for additional VHDL datatypes (integer, real, arrays of above)
AVSREQ-123062 | CORE_RAND | Randomization clone not reproducing the contradiction message
AVSREQ-122958 | JUPITER_ENGINE | Support the -delay_udp_xminitialize option when Jupiter supports xminitialize of state variables.
AVSREQ-122858 | SV_INTERFACE | getting xmelab internal exception during ixcom compilation flow
AVSREQ-122857 | SPECMAN_INTEF | var_opt_core optimization causes a Specman warning: DEPR_VERILOG_WIRE_UNDEFINED
AVSREQ-122852 | COVERAGE_ALL_COVERAGES | Elaboration of VHDL with Generics issues Error *E,GENXCOV
AVSREQ-122787 | UVM_SV | uvm_re_match has different behavior when string order is different
AVSREQ-122762 | JUPITER_ENGINE | Add Multi-core support for -force_sequdp_xminitialize
AVSREQ-122722 | JUPITER_COMPILER | Fix the can_apply_ff_sens for x_latch_out
AVSREQ-122721 | JUPITER_SOUTH | Improve calc_ff_sens_index to distinguish between ranks of clk temperature
AVSREQ-122658 | CORE_RAND | False warning *W,RNDFUNAC when static variables are in functions
AVSREQ-122612 | MSIE_ELAB | Unexpected VIFUCOM not in 20.05.v002
AVSREQ-122611 | MSIE_ELAB | CUVUNF for out of primary function call
AVSREQ-122610 | MSIE_ELAB | CUVUNF for bind module in MSIE
AVSREQ-122609 | MSIE_ELAB | CNOIFC error not in 20.05.v002
AVSREQ-122509 | LP_1801 | Spurious SVINMP for interface in bind
AVSREQ-122507 | GLS_GENERAL | GLS: support DU names size more than 1023 character
AVSREQ-122505 | LP_1801 | Spurious LIBNOCON for internal power of liberty inside another liberty
AVSREQ-122471 | DMS_MSIE | Partitioner does not discard partition which leads to MSIE real to unreal boundary port connection error INCUSC
AVSREQ-122441 | ASSERTION_SVA | Misleading errors for procedural concurrent assertion
AVSREQ-122404 | SV_PERFORMANCE | NOTPAR error at elaboration
AVSREQ-122374 | SV_CLASSES | Unexplained ITPREF error
AVSREQ-122305 | PARSE_SV | Xcelium giving build error when SVA sequence is named "followed_by"
AVSREQ-122108 | MSIE_PERFORMANCE | redundant pak files are created and being read in bbox flow
AVSREQ-122105 | ELAB_SV | CLONE - Elaboration Error (INTERR)
AVSREQ-122104 | MSIE_ELAB | xmelab error: *E,VIFUCOM - incremental snapshot
AVSREQ-122026 | LP_1801 | The always block does not execute inside a power aware model.
AVSREQ-122020 | LP_1801 | Elements in Tcl list for isolation are not being processed
AVSREQ-121980 | SV_CODEGEN | xmvlog_cg crashing for user defined system task function call
AVSREQ-121962 | SV_INTERFACE | Tool Crash(INTERR) during Elaboration - cu_vifc_verify_lpvi_msie_combination
AVSREQ-121958 | DMS_LP_AMS | UPF VCT is not mapping real value for UPF Voltage from UDN VDD net to UPF supply_net in 20.03-v
AVSREQ-121834 | PROFILER_SIM_RUNTIME | -profile ,-prof_dump options does not work for a hang simulation
AVSREQ-121827 | LP_SIM_PERF | xmelab INTERR : cu_vifc_check_access - unmark flags mismatched
AVSREQ-121813 | PARSE_SV | xmvlog crash with message "apx - can't abstract pointer"
AVSREQ-121667 | ELAB_SV | Elaboration crash when bringing up Modem environment with Xcelium
AVSREQ-121642 | RAND_SOLVER | TRAT Ignored constraint (wrong solved results)
AVSREQ-121635 | LP_1801 | xmelab Internal Error in Low Power
AVSREQ-121538 | ELAB_SV | xmelab CRASH with $error( $psprintf("%d ",$time) );
AVSREQ-121529 | RAND_DEBUG | -xceligen oc_format=1 doesn't work
AVSREQ-121324 | CORE_RAND | Could not impose constraints on protected int queue: Internal error
AVSREQ-121306 | LP_1801 | MULSPLY highlights a bug in incorrect PG connection
AVSREQ-121280 | DEBUG_DESIGN_DATABASE | Source Code for scopes are not displayed in MSIE LWD
AVSREQ-121186 | MCE_XTIMATE | -mce_acc_estimation not allowing to complete simulation. Gives ZD loop warning.
AVSREQ-121057 | RAND_SOLVER | TRAT seems to drop constraint and cause contradiction
AVSREQ-120974 | RAND_SOLVER | Solver generates incorrect value in TRAT mode for implication constraints
AVSREQ-120947 | SV_CODEGEN | E,DLNORD with successful compile
AVSREQ-120896 | MSIE_ELAB | xmelab crash with cuabv_cmp_asrt_details_by_pibid
AVSREQ-120806 | MSIE_ELAB | Internal error with MSIE xmelab: *F,INTERR: INTERNAL EXCEPTION
AVSREQ-120756 | CORE_RAND | Solver timeout on foreach loop in constraint
AVSREQ-120677 | JUPITER_ARCHITECTURE | supply nets causing NACCed items
AVSREQ-120675 | JUPITER_BRIDGE | Continuous assignment delays on combinational cells being NACCed
AVSREQ-120659 | RAND_GENERAL | Randomization fails without information, doesn't generate tc clone
AVSREQ-120658 | DMS_MSIE | Primary recompilation while using MSIE single step flow
AVSREQ-120651 | SV_INTERFACE | Primary elaboration crash with UPF
AVSREQ-120648 | DMS_VLOG | SVRNM: Support for $value$plusargs statement in a resolution function
AVSREQ-120606 | SV_CODEGEN | INTERR MESSAGE: gq_st_gen_swbabp - class default
AVSREQ-120530 | XPROPAGATION_PERFORMANCE | Performance issue with 20.05 non-blocking assignment
AVSREQ-120525 | RAND_SOLVER | Tool Crash during simulation when TRAT - solver enabled
AVSREQ-120514 | RAND_PERFORMANCE | Randomization stuck with MOD operator
AVSREQ-120489 | DMS_MSIE | Replicated Top + Spice OOMR is generating CUVTNH errors
AVSREQ-120483 | ASSERTION_SVA | property wrongly activated when ##PARAM
AVSREQ-120363 | SV_PERFORMANCE | Optimization breaking functionality in a fork block
AVSREQ-120321 | DEBUG_DESIGN_DATABASE | Enum signal is incorrectly text-ref annotated with localparam value of same name
AVSREQ-120306 | MSIE_ELAB | Elaboration crash while making an incremental snapshot of DSS
AVSREQ-120279 | JUPITER_RTL_SC | simulation failure with Jupiter early access w464
AVSREQ-120181 | MULTI_CORE_ENGINE | simdiff : start_time end_time not working correctly
AVSREQ-120172 | MSIE_ELAB | Support number of partitions control in automsie flow
AVSREQ-120159 | VHDL_PERFORMANCE | simulator locks when probing at time 0.
AVSREQ-120150 | DMS_SVAMS | New SVAMS Parser (-svams_2019) nullifies the effect of -honorvams
AVSREQ-120110 | DMS_WREAL | *E CICINT error when mapping scalar net type in concatenation
AVSREQ-120071 | DMS_LP_AMS | 20.05.a001 MESSAGE: ams_pwr_net2dms_enable xmsim: *F,INTERR: INTERNAL EXCEPTION
AVSREQ-120070 | COVERAGE_CODE | specialize_if_type_has_covergroup incorrectly uses wrong type for instances with different type parameters used
AVSREQ-120064 | DMS_MSIE | Coercion fails with Auto MSIE
AVSREQ-120054 | COVERAGE_ALL_COVERAGES | xcelium coverage command generates *E,GENXCOV for vhdl-2008 generate
AVSREQ-120039 | SIM_PERFORMANCE | Debugging profile entry ENABLE(n), tail, rtn (method)
AVSREQ-119988 | PARSE_SV | xmelab error with NOTPAR
AVSREQ-119966 | LP_1801 | Signal tied to '0 not corrupted correctly during shutoff
AVSREQ-119960 | MSIE_ELAB | LWD support in MSIE bbox flow for Indago
AVSREQ-119959 | XPROPAGATION_GENERAL | X-prop distinct warnings for XFOVRD with same mode and different
AVSREQ-119951 | CORE_RAND | Random stability lost when adding breakpoint or reset
AVSREQ-119948 | LP_1801 | Signal tied to 0 does not get propagated at power up
AVSREQ-119897 | MSIE_ELAB | xmelab error: *E,VIFUCOM when running using EHF 20.06.e436
AVSREQ-119888 | VPI_GENERAL | setting vc call back on indexes changing specific indexes: all cb methods are called
AVSREQ-119872 | MSIE_ELAB | CUVUNF reported when -automsie is used, for an object that is accessed in CU scope
AVSREQ-119836 | CORE_RAND | could not process distribution constraint due to change in polymorphic handle type
AVSREQ-119817 | DEBUG_DESIGN_DATABASE | Simvision does not display type definition for reg type state in FSM.
AVSREQ-119796 | XPROPAGATION_GENERAL | simulation mismatch related to xprop CASEXZ and UCASE
AVSREQ-119793 | SV_PORTS | CUVDSI warning generated as xmelab cannot connect signal in parent generate block via .* port connection notation
AVSREQ-119787 | RAND_SOLVER | randomization crash: xmsim: *F,RNDUNR: XCELIGEN assertion failed
AVSREQ-119784 | SIMVISION_WAVEFORMS | Simvision save command script has spinning wheel when selecting different location.
AVSREQ-119781 | SIM_MCLITE | Receiving INTERNAL EXCEPTION when running xrun -mcl option.
AVSREQ-119708 | XRUN_SYSC | [Xcelium] Compatibility between Xcelium and OpenSSL on RHEL-7
AVSREQ-119692 | DMS_SIM | $cged is returning incorrect value when EEnet is initially driven to zero volts
AVSREQ-119640 | DMS_PERF | DMS Performance: Reduce Digital Islands overhead for customer SV+SPICE(co-sim) designs
AVSREQ-119637 | DMS_MSIE | MSIE+SVRNM: SV wreal size mismatch and one bit bus to scalar
AVSREQ-119506 | SIM_PERFORMANCE | Elab with VTW crashed with MESSAGE: sv_seghandler - trapno -1 addr(0x15d951d620)
AVSREQ-119367 | SIMVISION_WAVEFORMS | Sequence time is not expanding at the end of a simulation
AVSREQ-119352 | LP_1801 | Elab crash with UPF
AVSREQ-119292 | DMS_MSIE | Replicated Top + Spice OOMR - strange tool exits during xrun reported by customer
AVSREQ-119289 | DMS_MSIE | Replicated Top + Spice OOMR - strange tool exits during xrun reported by customer
AVSREQ-119287 | CORE_RAND | Dynamic Test Simulation Crash in native code (unknown stream)
AVSREQ-119280 | VPI_GENERAL | uvm_hdl_force on typedef struct throwing an error
AVSREQ-119277 | FUNC_SAFETY_CONCURRENT | MESSAGE: sv_seghandler - trapno -1 addr((nil))
AVSREQ-119256 | ELAB_SV | CUVURH warning not generated when RTL compiled as SV
AVSREQ-119255 | ELAB_SV | $bits with XMR to SV interface failed - xmelab: *E,NOTDOT
AVSREQ-119251 | CORE_SV_IN | Internal exception when simulating after putting breakpoints in UVM code.
AVSREQ-119205 | SIM_PERFORMANCE | ELAB *F,INTERR: INTERNAL EXCEPTION, dt_get_known_datatype hit unknown datatype
AVSREQ-119178 | ELAB_SV | local param calculate result different from DSG tools and other simulators
AVSREQ-119080 | CORE_RAND | RNDCNSTE out of bound index in array reference found on CX mode
AVSREQ-119001 | ELAB_SV | xmelab locks files despite -nolock -rexp
AVSREQ-118939 | SIM_PERFORMANCE | Functional failure with assignment loop due to -enable_forgen_amalg
AVSREQ-118760 | SIM_USABILITY | genafile does not add typedef datatype with uvm_hdl_force
AVSREQ-118747 | RAND_SOLVER | Solver does not honor constraints in foreach loop
AVSREQ-118744 | DEBUG_DESIGN_DATABASE | x-prop clock and reset not reported as possible drivers
AVSREQ-118722 | RAND_SOLVER | Randomization difference between AARCH64 and X86
AVSREQ-118710 | RELEASE_INSTALLATION | Configuration step on LinuxOnPower returns error against transrecord.
AVSREQ-118662 | MSIE_ELAB | automsie does not work with -fast_recompilation
AVSREQ-118648 | MSIE_ELAB | Allow primtop with multiple views for the same module
AVSREQ-118626 | LP_1801 | xmelab 20.06-e404-20200525 INTERNAL ERROR
AVSREQ-118580 | MSIE_ELAB | Light weight bbox solution
AVSREQ-118532 | SIM_USABILITY | genafile does not add signals with uvm_hdl_force to afile
AVSREQ-118517 | LP_1801 | XRIO: Need to have a soft-error when signal specified in iso_enable field (converted to -isolation_signal by xrio) doesn't exist.
AVSREQ-118513 | LP_1801 | . is replaced with / in the instance name in find_objects command
AVSREQ-118467 | SIM_PERFORMANCE | Performance optimizations in CCPMU
AVSREQ-118457 | GLS_TIMING | Enhance Tcl cmd tcheck to support "-recursive" option to support all beneath level instances
AVSREQ-118455 | SIM_PERFORMANCE | VTW fails to convert local logic signals
AVSREQ-118436 | XPROPAGATION_PERFORMANCE | The memory consumption keep increasing as xmsim runs when xprop is enabled.
AVSREQ-118416 | XRUN_GENERAL | Extremely long +incdir path results in Internal Exception during xmelab
AVSREQ-118415 | RAND_SOLVER | rnc internal variable not disabled by rand_mode(0)
AVSREQ-118398 | SV_PERFORMANCE | Wrong sim behavior due to deadcode optimization in Xcelium 20.03.v001 and on.
AVSREQ-118367 | ELAB_BIND | Customer gets CUIMBC error when connection path has bind
AVSREQ-118332 | DMS_ELAB | xrun restart cannot find verilogA compilation directory
AVSREQ-118276 | FUNC_SAFETY_SIM | Serial engine generate inconsistent default seeds
AVSREQ-118251 | SV_GENERAL | -ii_write doesn't work for packed MDAs - further enhancement
AVSREQ-118246 | SV_GENERAL | -ii_val causing incorrect behavior on packed MDA
AVSREQ-118244 | DMS_LP_AMS | xmelab INTERR when using -newperf in low power build
AVSREQ-118238 | SV_GENERAL | ii_val breaks with certain code
AVSREQ-118182 | DYNAMIC_TEST_ELAB | consistency checking xmvlog/xmelab/xmsim.args between Save Sim vs Dynamic Test Sim
AVSREQ-118149 | PARSE_SV | Weird parser error that writes out chunk of source code in log file
AVSREQ-118106 | ASSERTION_SVA | xmvlog: *E,CHRINV - parser failure. Also an unclear message was printed
AVSREQ-117945 | LP_1801 | Fix issues with isolation and repeaters
AVSREQ-117937 | JUPITER_ARCHITECTURE | need to find a way to run -mce_acc_estimation that uniquifies the mce_xray.txt and the xmprof.out
AVSREQ-117933 | MSIE_ELAB | 3X elaboration time with bbox vs. no bbox MSIE
AVSREQ-117770 | COVERAGE_GENERAL | Internal Exception: MESSAGE : sv_seghandler - trapno -1 addr(0x2af719025a28)
AVSREQ-117758 | LP_BUILD_PERF | Elab takes 24hrs to finish
AVSREQ-117748 | ELAB_SV | xmvlog locks many files despite -nolock -rexp
AVSREQ-117711 | LP_1801 | An instance within a upf_dont_touch domain gets corrupted at power shut off
AVSREQ-117676 | LP_1801 | via_get_ots_field:No ots structure of kind 52 exists
AVSREQ-117675 | ELAB_SV | TYCMPAT Error for package compiled in two different library with xrun 3 step flow
AVSREQ-117649 | PARSE_SV | xmvlog crashed with message: mnemonic doesn't match any registered mnemonic
AVSREQ-117622 | IXCOM | Error on ixcom +uvmDut flow with two uvm packages
AVSREQ-117506 | DMS_LP_AMS | Xcelium crash after adding lps_common_options on version 20.03
AVSREQ-117504 | ELAB_PERF | Elaboration time slowdown when using -access +r.
AVSREQ-117491 | DMS_LP_AMS | vct specified on wreal and real are not getting resolved correctly
AVSREQ-117442 | LP_1801 | UPF R* build time highly increase in 20.03
AVSREQ-117388 | SV_GENERAL | always_comb block not getting triggered correctly
AVSREQ-117386 | RAND_SOLVER | TRAT: Internal exception when a source file used in snapshot, is removed
AVSREQ-117373 | RAND_SOLVER | Solver incorrectly reporting conflicting contraints
AVSREQ-117372 | CORE_RAND | RNDFUNAC messaging and functionality needs improvement
AVSREQ-117371 | JUPITER_COMPILER | optimization for set-resetable latch to not call table_seq if data unchanged
AVSREQ-117362 | LP_1801 | Linter can't error out on deprecated commands
AVSREQ-117339 | DEBUG_PROBE | Test SHM
AVSREQ-117314 | JUPITER_BRIDGE | Automatically Nacc instances under Compressor/Decompressor instances
AVSREQ-117235 | IP_PROTECT_GENERAL | compilation error when file is encrypted
AVSREQ-117229 | ELAB_SV | xmelab internal error, cu_propagate_expand - vector ring corrupt
AVSREQ-117221 | RAND_PERFORMANCE | iteration limit timeout
AVSREQ-117215 | LP_1801 | gui displays show domain as "corrupt" when running xrio
AVSREQ-117205 | RAND_SOLVER | Solver fails simple bit-slice constraint
AVSREQ-117199 | RAND_SOLVER | TRAT incorrectly detects oob error
AVSREQ-117091 | SIM_SV | xmsim: *E,SYSFMT (io.sv,63|25): $value$plusargs – value found '0x6400000' for plusarg 'nitro_io_base_addr=' does not match specified format.
AVSREQ-117071 | DMS_MSIE | MSIE+RNM:TYCMPAT error while doing partition at boundary having one bit bus to scalar connection
AVSREQ-117057 | ELAB_SV | Support of constant number as actual port in untyped checker instantiation
AVSREQ-117051 | MSIE_ELAB | uvm_pkg is elaborated on the incremental
AVSREQ-117036 | LP_1801 | xmelab INTERR in Green
AVSREQ-117005 | LP_1801 | 20.04-e281-20200419: xmelab: *E,UDFUOBJ: when generating info for latch based reset, for level_shifter
AVSREQ-116998 | RAND_SOLVER | SVRNDF - Constraint failure for solving unsigned integer dynamic array in to foreach loop
AVSREQ-116991 | GLS_SDF | -largest_path_delay is not giving expected behavior
AVSREQ-116988 | COVERAGE_FUNCTIONAL | xmvlog: *E,CGIANS Arrays of covergroup instances are not supported.
AVSREQ-116979 | ASSERTION_SVA | xmelab: *E,ALOOMN - Illegal use of assertion label or instantiation of a property, endpoint or sequence.
AVSREQ-116963 | SV_GENERAL | *F,INTERR: INTERNAL EXCEPTION - XLM migration
AVSREQ-116825 | FUNC_SAFETY_XFSG | XFSG is unable to exclude untestables in standard strobe mode
AVSREQ-116749 | CORE_RAND | Xceligen Solver unable to generate the same random numbers during reset & restart with -xceligen on=1903
AVSREQ-116681 | LP_1801 | Corruption of looping variable by Low Power
AVSREQ-116657 | RAND_SOLVER | solver_order_alg gives wrong distribution on inside list
AVSREQ-116602 | GLS_SDF | Internal exception at SDF elab: Message: sv_seghandler - trapno -1
AVSREQ-116539 | DMS_ELAB | Elaboration error : AMSILC when DVS CM are used with VHDL hierarchy in vddnet
AVSREQ-116523 | SV_CG_PERF | xmvlog_cg showing high memory usage during Xcelium build for SM unit
AVSREQ-116518 | ELAB_PERF | adding -enable_cuv_ewaitset and -enable_typebasedchk to newperf
AVSREQ-116498 | SIMVISION_WAVEFORMS | SimVision Create Bus from Range errors out
AVSREQ-116470 | DEBUG_DESIGN_DATABASE | Source Annotation takes long time on signal #1
AVSREQ-116403 | SIM_FORCE_RELEASE | ASNUSE - Force of member-select for unpacked structs
AVSREQ-116378 | GLS_TIMING | tcheck to support depth all or * till deep hierarchy
AVSREQ-116370 | COVERAGE_FUNCTIONAL | Support for arrays of cover group instance
AVSREQ-116300 | CORE_SV_IN | SV IF signal's value is not being updated due to CB optimization
AVSREQ-116254 | RAND_SOLVER | RNDCNSTE error for unsupported built in functions call in constraint
AVSREQ-116251 | VPI_VISA | textref in RTL not aligned to text when using -ext_src_info
AVSREQ-116166 | SIM_TCL | Need a TCL Variable / Method (either will do) to identify end of simulation
AVSREQ-115980 | DMS_ANALOG_ELAB | Internal exception with Fatal error (CMI-2010) because of Assertion failed in file esimBccoToSam.cc'
AVSREQ-115954 | SIM_SV | Dynamic loop does not wake up
AVSREQ-115941 | DMS_INTERACTIVE | DMSDEBUG: Deliver MXNET Phase 2 to agile
AVSREQ-115909 | ELAB_SV | wrong *W,CUVIHR issued at elaboration
AVSREQ-115907 | MSIE_ELAB | recompile failure of library file in msie mode
AVSREQ-115902 | SIM_SV | Simulation crash with sv_seghandler - trapno -1 addr(0x28)
AVSREQ-115899 | SIM_SV | always not waking on input event
AVSREQ-115851 | COVERAGE_FUNCTIONAL | XLM doesn't support array of covergroups
AVSREQ-115834 | LP_1801 | UPF and RTL connection
AVSREQ-115785 | LP_1801 | LP - Buffer input is 1 but the output X
AVSREQ-115767 | LP_1801 | UPFEERM when using -applies_to_boundary in set_level_shifter command
AVSREQ-115760 | PARSE_SV | EXPPAR for process::self without parentheses
AVSREQ-115753 | ELAB_BIND | E,CUCPTM: type mismatch over port association
AVSREQ-115698 | ELAB_SV | Outputs connected in gen-for loop remain 'z during sim.
AVSREQ-115620 | SV_DPI | Support for shortreal inside unpacked struct used in DPI
AVSREQ-115619 | LP_1801 | check in AVSREQ-107603 Make -lps_time0_isox and -lps_replay_comb_always default
AVSREQ-115618 | COVERAGE_GENERAL | Suppress EILLCT error for illegal_bins generate
AVSREQ-115614 | JUPITER_ENGINE | mce_run.log seems to have overflow in simulation time
AVSREQ-115612 | DMS_AXUM | SV+SPICE: xmelab Internal Error due to bblist
AVSREQ-115531 | GLS_SDF | wrong setup timing violation reported with ntc_level 3
AVSREQ-115487 | CORE_RAND | Backdoor constraint problem: could not find a rand variable named: <var_name>
AVSREQ-115483 | CORE_RAND | Simulator existing due to constraint processing errors with backdoor
AVSREQ-115468 | VPI_PLI | INTERR sv_seghandler - trapno -1 addr(0xffffffdb) in ipi_cbFast_cuf_int
AVSREQ-115460 | MULTI_CORE_COMPILER | XMELAB:Tool Crash(INTERR) with MESSAGE: dtv_vifc_find_vii_inst - not a module level DU
AVSREQ-115449 | ELAB_SV | Crash with via_get_ots_field message
AVSREQ-115442 | XRUN_GENERAL | customer model: xrun -f elab.f hangs for long period of time
AVSREQ-115429 | VPI_GENERAL | Accuracy issue when reading multi-dimensional wires of > 32 bits through PLI
AVSREQ-115333 | LP_1801 | isolation on outside module is not like clamp value
AVSREQ-115295 | DMS_LP_AMS | SVRNM+LP: Elab INTERR with 20.03
AVSREQ-115250 | XPROPAGATION_PERFORMANCE | Increase in build time with Default blocks
AVSREQ-115230 | LP_1801 | Internal Error with message - vst_identifier () bad class
AVSREQ-115190 | ELAB_BIND | Bind to parent library
AVSREQ-115103 | VHDL_GENERAL | VHDL report REPORT/FAILURE from procedure @std.ENV:STOP
AVSREQ-115010 | PROFILER_XPROF | xprof dump is taking almost same amount of time as sim due to 0% cpu usage
AVSREQ-114951 | FUNC_SAFETY_XFR | xfr crash in FSV testcase
AVSREQ-114886 | SV_DPI | FATAL error during simulation, xmsim: *F,NOFDPI: fatal error within protected source code
AVSREQ-114859 | RAND_DEBUG | min clone doesn't compile
AVSREQ-114840 | SV_CODEGEN | 20.04-e278 leads to elab internal if no access given
AVSREQ-114796 | PARSE_SV | localparam has wrong value when using parameter from macro with $clog2 to size value
AVSREQ-114733 | SV_CODEGEN | Crash on CG at NY with if_reserved.constprop.84 in bpad
AVSREQ-114687 | JUPITER_ENGINE | customer design ZDEL ATPG fails in south elaboration
AVSREQ-114665 | SIM_PERFORMANCE | Update LTW message codes to VTW
AVSREQ-114607 | RAND_SOLVER | Tool Crash with TRAT during simulation
AVSREQ-114463 | JUPITER_HVIEW | hview banner and MCE_HOME banner not in sync
AVSREQ-114462 | JUPITER_HVIEW | hview took too long to report back can't find split_rtl_paths.txt
AVSREQ-114375 | SIM_PERFORMANCE | 20.04-e274 leads to DUT errors only with -enable_var_opt_core
AVSREQ-114364 | LP_1801 | Spurious NOLIBAS note for power aware model with UPF
AVSREQ-114337 | SIM_PERFORMANCE | xmvlog_cg internal error : tl_prone_reader - no dp for net
AVSREQ-114323 | SAVE_RESTART_GENERAL | Processing of "-run" or <Input.tcl> takes place prior to launching to GUI
AVSREQ-114311 | MSIE_ELAB | Sign Off: RELAX_SVBMUF support in MSIE
AVSREQ-114282 | LP_1801 | No liberty connections for liberties inside PA model with UPF
AVSREQ-114253 | JUPITER_ENGINE | add the "mce_" prefix to the flag sim_cpu_configuration
AVSREQ-114216 | ELAB_SV | Question about cause of CRCCON warning.
AVSREQ-114211 | PARSE_SV | xmvlog: *E,NOTTXX - Expecting a task name [10.2.2(IEEE)].
AVSREQ-114184 | SIM_PERFORMANCE | VTW optimization not applied
AVSREQ-114132 | SAVE_RESTART_GENERAL | Internal exception when starting a saved snapshot.
AVSREQ-114089 | FUNC_SAFETY_ELAB | XFS Net Semantic - crash with fault_net_jg
AVSREQ-114070 | RAND_DEBUG | Enhance local_oc to show more targeted information
AVSREQ-114050 | SIM_PERFORMANCE | Big slowdown on continuous assignments in generate loop
AVSREQ-114048 | ELAB_SV | xmelab *F,CUITOP message unhelpful for understanding error
AVSREQ-113995 | SIM_PERFORMANCE | 1G RDWAD registry data is loaded in LPX sim
AVSREQ-113867 | SIM_PERFORMANCE | xmelab crash with cu_qualify_ifgen_amalg_assigns on stack
AVSREQ-113766 | VPI_GENERAL | ValueChange cb does not trigger at a change in object
AVSREQ-113739 | FUNC_SAFETY_ELAB | XFS Net Semantic - incorrect vector net information in UCIS
AVSREQ-113732 | PARSE_SV | 1330237 CCR IES to support process::self call without parenthesis
AVSREQ-113685 | XPROPAGATION_GENERAL | Xprop ordering issue with MODULE directives
AVSREQ-113683 | LP_1801 | Spurious W,SVINMP for interface not on the boundary
AVSREQ-113666 | GLS_GENERAL | Support needed for more than 15 UDP inputs
AVSREQ-113584 | MSIE_ELAB | ELABERR: FATAL error observed with MSIE flow
AVSREQ-113582 | GLS_PERFORMANCE | Flash Memory: "-enctran" waveforms mismatch
AVSREQ-113537 | GLS_SDF | wrong hold timing violation reported due to multiple conditional checks
AVSREQ-113494 | LP_1801 | elab crashing in after 2020 kits
AVSREQ-113460 | SIM_USABILITY | Libcache : Handle cleanup of cache dirs if no readers for some specific period of time
AVSREQ-113457 | FUNC_SAFETY_CONCURRENT | Simulation internal exception error while using "-fault_boundary" running fault simulation
AVSREQ-113422 | LP_1801 | UPF The state cannot become partial_on when supply net and supply port are same name.
AVSREQ-113418 | XPROPAGATION_PERFORMANCE | LP with X-prop simulation performance degradation with 20.03.v001rc2
AVSREQ-113329 | MSIE_ELAB | Not able to deploy parallel elab on a GLS design
AVSREQ-113250 | CORE_SV_IN | Request to improve the performance of $fread
AVSREQ-113061 | SIM_PERFORMANCE | Always_block still consuming more.
AVSREQ-112931 | DMS_ELAB | For customer case, the elaboration time of Xcelium19.09 takes three times that of IES 15.2
AVSREQ-112837 | RAND_SOLVER | random constraint issue, generating out of range value for one randomize call
AVSREQ-112836 | COVERAGE_MERGING | Support for Union Coverage Merge on bins level
AVSREQ-112834 | DMS_AMSD | TYCMPAT error caused by the presence of an AMS (VAMS) instance in simulation containing AOIs with SVUDN ports
AVSREQ-112823 | SIM_PERFORMANCE | xmsim INTERR with 20.03 e211 kits and var_opt_core and x_latch_prune optimizations
AVSREQ-112815 | COVERAGE_CODE | Need to be able to enable coverage on parameterized modules without uniquifying type parameters in module name
AVSREQ-112772 | SIM_PERFORMANCE | Support of DELBUF in customer design
AVSREQ-112770 | XRUN_GENERAL | Enhance "-enable_single_yvlib" to be able to change the default name "single_yvlib"
AVSREQ-112749 | MSIE_ELAB | Support -msieunlock oopr_to_class in multi-step MSIE flow
AVSREQ-112735 | RAND_SOLVER | Constraint failure for certain seeds
AVSREQ-112733 | LP_1801 | inverter input not waking up after initial power
AVSREQ-112722 | SIMVISION_WAVEFORMS | 'Save Command Script' -> browse issue
AVSREQ-112719 | VPI_GENERAL | Segmentation fault in ipi_getTime() during elaboration
AVSREQ-112705 | RAND_SOLVER | item.index not supported in constraints
AVSREQ-112704 | JUPITER_BRIDGE | MC Codegen is taking too long
AVSREQ-112658 | GLS_GENERAL | Un-initialized variable issue in CDP ACA
AVSREQ-112521 | PARSE_PERF | Strange slowdown during compilation of a file that compiles fast standalone
AVSREQ-112472 | SV_GENERAL | bit type variable gets X or Z when it can only get 0,1
AVSREQ-112468 | ASSERTION_COMPILE | Document -nocover option
AVSREQ-112424 | SV_CODEGEN | xmvlog_cg crash for function call in constraints
AVSREQ-112351 | RAND_SOLVER | TRAT behaves differently than pre-TRAT for oob associative arrays
AVSREQ-112327 | DMS_SVAMS | xmelab: *F,INTERR: INTERNAL EXCEPTION with SVRNM, SV-AMS and custom connect modules
AVSREQ-112203 | ELAB_SV | Internal Exception while giving type error
AVSREQ-112006 | RAND_GENERAL | Unable to reproduce random sequence between 19.09 and 20.03 (package class static init)
AVSREQ-112005 | GLS_GENERAL | create optimization that can recognize tranif and gate logic that can be transformed into a mux
AVSREQ-112000 | PARSE_SV | xmvlog crash: Verilog syntax tree (SystemVerilog package)
AVSREQ-111978 | LP_1801 | customer requested enhancement to support create_power_switch "-output_voltage"
AVSREQ-111925 | MSIE_ELAB | MSIE error related to "reference from primary to incremental"
AVSREQ-111922 | RAND_SOLVER | soft constraint that should be ignored gets overconstrained and fails the call
AVSREQ-111760 | SIM_PERFORMANCE | ENABLE_PES_WOOMR missing from -newperf
AVSREQ-111683 | PROFILER_SIM_MEMORY | Huge dynamic memory(run time snapshot) consumption in IWB xcelium simulation
AVSREQ-111679 | LP_1801 | RTNCCER error with lps_rtn_bit_precise
AVSREQ-111517 | COVERAGE_FUNCTIONAL | *E, ECGWCK, Syntax Support for Wildcard ignore_bin
AVSREQ-111510 | MULTI_CORE_COMPILER | Compilation failure (SIGABRT) due to too many south phases
AVSREQ-111505 | SIM_PERFORMANCE | xmelab INTERR when doing cloning on CPU ecore
AVSREQ-111498 | JUPITER_COMPILER | using xmroot under the hood for MC support tools is dangerous and should result in an error if mismatched
AVSREQ-111456 | SIM_PERFORMANCE | always block inside gen-for loop consuming a lot, contributing to huge ACTIVE WAKEUPS
AVSREQ-111400 | JUPITER_COMPILER | hview fails to run for Jupiter
AVSREQ-111380 | FUNC_SAFETY_SIM | huge slowdown when injecting faults
AVSREQ-111369 | RAND_DEBUG | xmsim: *W,RNDARS: Array resize error in randomization constraint
AVSREQ-111344 | SIM_PERFORMANCE | VTW optimization not kicking in, for this scenario
AVSREQ-111274 | ELAB_SV | xmelab crash Internal exception error cu_vifc_check_access - mark flags mismatched
AVSREQ-111228 | GLS_PERFORMANCE | CDP ACA creation
AVSREQ-111181 | CORE_RAND | TRAT not honoring the inline constraints
AVSREQ-111134 | DEBUG_PROBE | Internal error when probing UVM hierarchy
AVSREQ-111072 | JUPITER_GLST | build time > 10x of SC for Jupiter
AVSREQ-111032 | LP_1801 | UPF supply_map not making connections to VDD pins if supply ports/nets are defined after the apply_power_model
AVSREQ-111029 | SIM_SV | INTERNAL EXCEPTION : MESSAGE: svhrc_dec/ svhrc_inc - EXTERNAL/INTERNAL
AVSREQ-111004 | COVERAGE_FUNCTIONAL | Bins empty for enum transitional coverage
AVSREQ-111002 | ELAB_SV | xmelab crashes with MESSAGE: sv_seghandler - trapno -1 addr(0x1788)
AVSREQ-110990 | PARSE_SV | *E,MLPFAG Macro used as macro parameter not supported
AVSREQ-110973 | JUPITER_ENGINE | Internal error during simulation - seg fault in PDBox
AVSREQ-110949 | SIM_PERFORMANCE | Cont Assigns taking 88% time. Need to tune sim performance.
AVSREQ-110943 | MSIE_ELAB | MSIE - make features: -msieunlock class_spec_perf and -msieunlock class_spec_perf_phase2 default.
AVSREQ-110910 | JUPITER_GLST | Jupiter build time 4x of SC
AVSREQ-110892 | ELAB_PERF | sv_bushandler - SIGBUS not in rts_xfer when reseting the simulation and when a specific object is probed
AVSREQ-110886 | LP_1801 | create an error if there are conflicting domain_interface_def specifications
AVSREQ-110882 | DEBUG_DESIGN_DATABASE | Autofetch feature in 20.02-e055 does not capture correct source file path information
AVSREQ-110866 | RAND_SOLVER | Incorrect random values generated yet randomize() succeeds
AVSREQ-110834 | RAND_SOLVER | TRAT produces incorrect randomized values
AVSREQ-110825 | XRUN_GENERAL | xmelab crash due to duplicate .o files on command line
AVSREQ-110803 | DOCUMENTATION | Fault Instrumentation: document whether SV RNM and wreal re supported for fault injection/propagation
AVSREQ-110740 | XPROPAGATION_GENERAL | [VHDL xprop] [Enhance Request] Supported for VHDL-XPROP internal variable
AVSREQ-110638 | CORE_RAND | Environment variable to control randomize breakpoint behavior
AVSREQ-110568 | PROFILER_SIM_MEMORY | xmsim INTERR while creating disasm.out: gc_doF - switch (fffffff5:5)
AVSREQ-110566 | SIM_PERFORMANCE | xmelab INTERR with -rwc access: vsto_ots_pointer - zero offset
AVSREQ-110403 | MULTI_CORE_COMPILER | Multiple driver elaboration error introduced in skeleton
AVSREQ-110358 | JUPITER_COMPILER | Improve cone merging for sequential UDPs
AVSREQ-110298 | SV_INTERFACE | an obj path with trailing space fail on vpi_get /read/write access although it has
AVSREQ-110277 | LP_1801 | Power down function does not corrupt output of NPA comb-cell at time 0
AVSREQ-110271 | CORE_RAND | SVRNDF found with TRAT (without error_on_oob=0)
AVSREQ-110261 | RAND_SOLVER | TRAT detects incorrect rand mode
AVSREQ-110260 | GLS_GENERAL | INTERR sigsegv in cdpr_find_node
AVSREQ-110144 | LP_1801 | Find objects command does work with protected code
AVSREQ-109998 | IP_PROTECT_GENERAL | xmprotect make errors into long files encryption( ~582k lines)
AVSREQ-109988 | LP_1801 | UPF does not accept backslash to bit slice an array
AVSREQ-109976 | SV_DYNAMIC_DATATYPES | xmsim INTERR: vst_index_datatype () - invalid class, class 829
AVSREQ-109954 | GLS_GENERAL | clean accidently adding of tran to floating nets
AVSREQ-109904 | PROFILER_XPROF | Please add an informative message to the 'nothing to show' screen in xProf
AVSREQ-109894 | VPI_GENERAL | The flags -plusperf -newperf cause a VPI error at event registration
AVSREQ-109821 | ELAB_SV | Rebuild happens without a change in VST and the rebuild time is twice the scratch build
AVSREQ-109818 | DMS_ELAB | Bit-select of SV interface array connected to electrical net inside a instance shows CUVDNF error
AVSREQ-109760 | IP_PROTECT_GENERAL | xmprotect encrypted files has error
AVSREQ-109755 | ELAB_SV | NOTDOT error to reference sub instans param
AVSREQ-109699 | SIM_PERFORMANCE | long time spent in system calls in single-core relative to multi-core
AVSREQ-109630 | COVERAGE_FUNCTIONAL | running a test in a UVM testbench with VIP results : xmsim: *F,INTERR: INTERNAL EXCEPTION.
AVSREQ-109623 | SV_CODEGEN | xmvlog_cg performance
AVSREQ-109594 | SIM_PERFORMANCE | xmelab fatal error (cu_check_osca_rhs)
AVSREQ-109589 | ESW_ESWDBGEN | Indago ESWD hang in the loading screen
AVSREQ-109558 | SIM_PERFORMANCE | xmsim: *F,INTERR: dt_is_array hit unknown datatype (VST_T_TYPE_PARAMETER)
AVSREQ-109498 | IP_PROTECT_GENERAL | [Xmprotect IEEE1735] Encryption envelope parameters are dumped in a format which is not recognizable by other tools
AVSREQ-109478 | DMS_ELAB | xmelab internal error with -amsdropt
AVSREQ-109474 | RAND_GENERAL | Different constraints being mapped to same variable in clone
AVSREQ-109460 | ELAB_BIND | xmelab fatal error with MESSAGE: sv_seghandler - trapno -1 addr((nil))
AVSREQ-109435 | JUPITER_GLST | Simulation mismatches in MC GLST simulation
AVSREQ-109406 | ELAB_SV | xmelab crash - cfn_execute_stmts - unexpected statement (VST_S_BUILTIN)
AVSREQ-109369 | ASSERTION_PSL | Why is profiler referencing NC_TO_BOOL when user is not using that function
AVSREQ-109362 | ASSERTION_COMPILE | E,ILLPRI with nexttime
AVSREQ-109360 | LP_1801 | spurious LIBINPA related to internal_power signal in liberty
AVSREQ-109210 | CORE_SV_IN | xmsim INTERR rts_ra_wakeup - wm_method when doing $fread
AVSREQ-109196 | ASSERTION_SVA | simulation errors NCNOFA and EMIRR
AVSREQ-109194 | SV_DYNAMIC_DATATYPES | xmsim INTERR svhpa_traverse - no parent datatype when using parameterized mailbox
AVSREQ-109173 | PROFILER_XPROF | xprof hang when loading DB
AVSREQ-109081 | SIMVISION_GENERAL | Source .svcf file for multiple database in Simvision Analysis Environment.
AVSREQ-108905 | DMS_PERF | AI CCR for internal
AVSREQ-108901 | SV_INTERFACE | xmelab Internal error on low power build
AVSREQ-108882 | PROFILER_MEM_XPROF | xprof topping out of memory reading in a db
AVSREQ-108879 | JUPITER_BRIDGE | create a switch to allow serialization of mccodegen
AVSREQ-108872 | LP_CPF | No means to bypass SVUNSTE in Xcelium
AVSREQ-108863 | FUNC_SAFETY_ELAB | XFS Elab Internal Exception on Customer Design - Critical Issue
AVSREQ-108853 | MSIE_SIMULATION | simulation SIGUSR error when using MSIE+systemc module
AVSREQ-108847 | SV_GENERAL | xmelab: *E,BNDERR (./test.sv,18|101): Bit-select or part-select index out of declared bounds.
AVSREQ-108835 | DMS_LP_AMS | The connections of a wire coerced to wreal is ignored on Liberty models
AVSREQ-108809 | CORE_RAND | Randomization constraint failure
AVSREQ-108743 | SV_PERFORMANCE | Massive amount of time spent in a loop
AVSREQ-108740 | LP_1801 | New *E,RTNCCER in rebase RC 20.02.v001 which wasn't seen in 19.12.v001
AVSREQ-108724 | SV_CODEGEN | CODBIG during xmvlog_cg
AVSREQ-108628 | SIM_CAPTURE_REPLAY | Capture-Replay: support time shifting replay values
AVSREQ-108608 | PARSE_SV | INTERR: xmvlog Unexpected signal #11 (p3_hacked_class_scope)
AVSREQ-108527 | SIM_SV | Wrong #1step sampling in clocking block
AVSREQ-108427 | MULTI_CORE_FRONT_END | *E,NLRMO error when trying to compile the ske.sv
AVSREQ-108406 | LP_1801 | MULSAF error Multiple dumpsaif executions not supported errors with -lps_analyze
AVSREQ-108397 | PROFILER_SIM_RUNTIME | internal error when using -mce_acc_estimation
AVSREQ-108389 | LP_1801 | Liberty Filename is not being displayed in Liberty Verbose Information
AVSREQ-108309 | LP_1801 | Use of forces with power aware models cause INTERR
AVSREQ-108307 | SV_GENERAL | Struct is assigning to handle instead of copying
AVSREQ-108305 | RAND_DEBUG | Nested randomization for leaf level object does not work.
AVSREQ-108226 | ASSERTION_SVA | Localparam not recognized inside nested for generate loop
AVSREQ-108213 | MULTI_CORE_GLST | can annotation errors in multi-core (XUFE) be made into a warning like single-core?
AVSREQ-108174 | LP_1801 | Xcelium becomes unresponsive during a low power simulation
AVSREQ-107938 | LP_1801 | Generate isolation only UPF file from user input text file and pass to xmelab (Phase1, integrate the xrio script)
AVSREQ-107856 | COVERAGE_MERGING | Need solution for merge of MDA of different sizes
AVSREQ-107708 | SV_CODEGEN | codegen internal exception with "MESSAGE: gq_e_this_and_super - no thisswboffset"
AVSREQ-107701 | XRUN_GENERAL | xrun "-reflib" option changes timestamp and size of referenced compilation library
AVSREQ-107691 | VHDL_GENERAL | VHDL Support for predefined attribute element
AVSREQ-107618 | SIM_MCLITE | xcelium crashes with internal error: Open fail in set cpulogs
AVSREQ-107614 | ELAB_BIND | Xcelium elaboration reports CUVHNF error but Incisive could pass
AVSREQ-107607 | DEPRECATE_CORE_COVERAGE | FSM not extracted due to logic in combinatorial block using struct element
AVSREQ-107600 | JUPITER_BRIDGE | Give MCEHME error with Jupiter if path given with MCE_HOME is incorrect
AVSREQ-107594 | COVERAGE_TOGGLE | Toggle coverage for enum not as expected
AVSREQ-107575 | SIM_SV_VHDL | VHDL input staying unexpectedly at 'UUUUU' throughout simulation. Drivers not intuitive to root cause it. Need help
AVSREQ-107565 | PROFILER_SIM_MEMORY | Dynamic memory profling missing info in memdetail report
AVSREQ-107495 | LP_1801 | xmelab crash with subelem_chain_next - cannot descend to datatype (748)
AVSREQ-107423 | SV_GENERAL | Crash when recording flow of SV mailbox during mailbox creation
AVSREQ-107356 | ELAB_BIND | search path to avoid *E,MTOMDU: More than one unit matches on -top
AVSREQ-107315 | LP_1801 | xmelab: *E,ILLCNDM: [LPS] No design object is found for name in control condition: "powersys_sram_nxp_peri_pd_b*[0]", position 1 (/mercury.upf:419).
AVSREQ-107185 | JUPITER_GL_SC | Jupiter runtime *F with invalid params –force_flags
AVSREQ-107159 | ASSERTION_SVA | automatic variable confuses assertion
AVSREQ-107106 | ELAB_SV | Case failing with string in elaboration time function
AVSREQ-107075 | ELAB_SV | argument to $bits seems overly restrictive
AVSREQ-107052 | XRUN_GENERAL | -yv handling different in vcs vs xcelium
AVSREQ-107009 | XPROPAGATION_GENERAL | XProp Instance-Level Statistics Display in SIB Based GUI
AVSREQ-106995 | SV_CODEGEN | Enhancement: enable undo liverecording for xmvlog_cg
AVSREQ-106989 | SV_CODEGEN | ncvlog_cg: MESSAGE: gc_qlshift - word overflow
AVSREQ-106861 | DEPRECATE_CORE_LP | Spurious NOIMDR when reg is used as a wire
AVSREQ-106858 | SV_INTERFACE | Interface Self reference fails with TYCMPAT for AOI
AVSREQ-106834 | DMS_BIND | MS Prototype: Add internal option to downgrade EXNEUS error for design debug only
AVSREQ-106787 | XRUN_GENERAL | COD/SIG file written to precompile libraries (reflib)
AVSREQ-106656 | ELAB_BIND | Use of relax_svb* for customers?
AVSREQ-106644 | COVERAGE_FUNCTIONAL | Crash when using cross coverage with ignore_bins with expression
AVSREQ-106589 | ASSERTION_SIM | assertion glitch to "failed"
AVSREQ-106540 | CORE_RAND | Xcelium should issue a warning when a soft constraint is discarded
AVSREQ-106524 | PARSE_SV | macro expansion issue with escaped name
AVSREQ-106029 | ELAB_SV | -ii_wwarn enhancements
AVSREQ-106021 | SV_CODEGEN | MT_VAR(rts_gd_start)->gd_gr_icl is corrupted by "Stream rts_xfer" – xcelium internal exception error at "drivers -active" command at xmsim
AVSREQ-106016 | XRUN_GENERAL | Re-elab with no apparent reason and using -fast_recompilation
AVSREQ-106014 | LP_VPI | Text-ref do not appear in UPF
AVSREQ-106013 | LP_1801 | Liberty log should not report "null" string when liberty does not exist
AVSREQ-105936 | SAVE_RESTART_CHECKPOINT | save/restore intermittently not working while running several tests in parallel
AVSREQ-105932 | DEPRECATE_CORE_LP | Boundary port information defined in update_power_domain -user_attribute{boundary_port..} is being flagged with the ILLBPT warning
AVSREQ-105929 | DEPRECATE_CORE_LP | Fix failures under different modes
AVSREQ-105912 | SV_DPI | create empty dpiheader if -dpiheader is being used
AVSREQ-105863 | LP_VPI | Indago does not show isolation on struct member outputs
AVSREQ-105851 | SIM_FSDB | TCL force stops taking effect without force or release
AVSREQ-105832 | LP_1801 | LP simulation significant slowdown when adding the flag -lps_1801_msg
AVSREQ-105793 | SIM_USABILITY | -libcache: Improve excessive simulation loading time
AVSREQ-105737 | LP_1801 | Need solution/explanation for "xmelab: *W,FTSTCT: [LPS] Streaming concatenation at (./module_a.v:8) ignored for low power.
AVSREQ-105716 | DEPRECATE_CORE_LP | xmelab: *W,NOIMDR: [LPS] The port (top.dut.x.xx.xxx.xxxx.x) has multiple drivers and cannot be isolated by rule x
AVSREQ-105635 | XPROPAGATION_GENERAL | Avoid pessimism in array corruption feature, corrupt desired bits in case of variable index
AVSREQ-105588 | SV_PERFORMANCE | Static analyzer is required to be enhanced for removing unnecessary simulation activities.
AVSREQ-104902 | SV_GENERAL | $sformat introduces an empty space at beginning of string handle
AVSREQ-104901 | XRUN_GENERAL | FLI C Interface LD_LIBRARY_PATH Interpretation
AVSREQ-104782 | FUNC_SAFETY_ELAB | INTERNAL EXCEPTION occurs during elaboration
AVSREQ-104781 | FUNC_SAFETY_SIM | INTERNAL EXCEPTION occur during fault machine simulation
AVSREQ-104737 | GLS_GENERAL | rts_abrthandler internal exception scenario
AVSREQ-104640 | MULTI_CORE_ENGINE | Warning TRZDGOC : Possible zero-delay gate oscillations detected: When -mce_acc_estimation option is added
AVSREQ-104570 | CORE_RAND | *E, RNDXZW Constraints reference an X/Z value.
AVSREQ-104503 | ASSERTION_SIM | simulator internal exception assertion and xprop
AVSREQ-104300 | RAND_SOLVER | RNDCNSTE error: Field of struct not supported in solve/before. Causing SVRNDF error
AVSREQ-104222 | ELAB_SV | Issue a Warning when a type real is assigned to a wire
AVSREQ-104100 | GLS_SDF | Internal Exception with xmelab 1909
AVSREQ-104069 | VPI_GENERAL | VPI cannot cope with value changes on string typed values.
AVSREQ-103609 | GLS_SDF | Xcelium crashes during SDF annotation
AVSREQ-103601 | LP_1801 | odd UPF behavior with -lps_dbc with checker not detecting threshold
AVSREQ-103537 | SPECMAN_TEMPORAL | TCM Extension With Different Sample Event
AVSREQ-103462 | LP_1801 | ILLSSCI Warning -Need to specify Instance name
AVSREQ-102843 | MSIE_ELAB | Single step MSIE internal error - ie_create_master_spines
AVSREQ-102585 | DEPRECATED_CORE_PROFILER | Xprof GUI showing unexpected high activity for a particular interface instance
AVSREQ-102583 | DEBUG_PROBE | OPDB error when probing in 32 bit simulator.. 64 bit works fine
AVSREQ-102561 | MSIE_SIMULATION | Signal not correctly driven after uvm_hdl_deposit in MSIE environment
AVSREQ-102523 | SYSC_TLM | Failure of TLM2 transaction in the code processing extensions
AVSREQ-102489 | COVERAGE_TOGGLE | Request set_toggle_includex count transition as 0->x->1 and 1->x->0
AVSREQ-102465 | COVERAGE_FSM | Request FSM extraction when FSM is using element of struct
AVSREQ-102455 | COVERAGE_MERGING | imc merge is incorrect for mda's when primary run has smaller array even with -initial_model union
AVSREQ-102412 | RAND_GENERAL | need to disable constraint debugger when using randomize(null)tes
AVSREQ-102360 | ASSERTION_SVA | automatic variable in assertion fails - NCNOFA\EMIRR
AVSREQ-102209 | COVERAGE_FUNCTIONAL | xmvlog: *E, SVCNIC embedded coverage group not instantiated in the 'new' method.
AVSREQ-102208 | ASSERTION_SVA | simulation errors NCNOFA and EMIRR
AVSREQ-102207 | ASSERTION_SVA | elab errors CUVIMG CUVUNF with assertion inside for loop and using loop index within the assertion
AVSREQ-102067 | SV_CODEGEN | xmvlog_cg: *E,CODBIG
AVSREQ-101980 | SV_GENERAL | xmvlog: *E,WOUPYR for unpacked structs in clocking blocks
AVSREQ-101474 | SIMVISION_GENERAL | Source code annotation is intermittently not available for a file
AVSREQ-101468 | ELAB_BIND | option for relaxed binding rules followed when a component is being instantiated using default binding.
AVSREQ-101432 | SIMVISION_UVM_VIEWERS | simvision is frozen when opening register viewer in UVM 1.2
AVSREQ-101379 | COVERAGE_FUNCTIONAL | Request ability to display range of fixed size vector bins
AVSREQ-101084 | ELAB_SV_VHDL | xmvhdl_p: *E,ILLUCP error if an SV module port is not connected in VHDL
AVSREQ-101054 | COVERAGE_FUNCTIONAL | Getting the xmelab *E,CGOPAS with option.goal in return statement
AVSREQ-101053 | SIM_PERFORMANCE | Same testcase giving incorrect results with xcelium but not with Incisive 15.20s74
AVSREQ-101038 | DMS_ANALOG_ELAB | CMI-2010 error caused by esimBccoToSam - module/interface parameter publish causes the tool to crash
AVSREQ-100943 | XRUN_GENERAL | xmprep command returns *F,TOOMNW when set -work parameter
AVSREQ-100938 | COVERAGE_FUNCTIONAL | support for arrays of covergroups
AVSREQ-100907 | PARSE_SV | incorrect unused library file warning (LIBNOU)
AVSREQ-100878 | SIMVISION_WAVEFORMS | Simvision browsing tab is not working properly
AVSREQ-100836 | LP_1801 | The separator '/' is not supported for '-pattern' of UPF command 'find_objects'
AVSREQ-100747 | ELAB_SV | CRCCON warning messages in monolithic xrun flow
AVSREQ-100526 | ELAB_SV | System elaboration tasks in for loops
AVSREQ-100379 | COVERAGE_FSM | FSM not extracted if FSM state is an element of a struct
AVSREQ-100371 | SV_GENERAL | Request support of packed struct in clocking blocks
AVSREQ-100368 | COVERAGE_GENERAL | Please downgrade ICFCLD warning to a Note
AVSREQ-100329 | LP_1801 | UPF find_objects is not able to find the instance having special character
AVSREQ-100326 | ASSERTION_SVF | Support for $past, $rose, etc inside task in class
AVSREQ-100296 | COVERAGE_FUNCTIONAL | IMC Enhancement Request: Show coverbin range of values in GUI
AVSREQ-100131 | COVERAGE_FUNCTIONAL | Report auto coverage bin ranges
AVSREQ-99851 | ELAB_SV | Getting spurious elab warning CUVIHR
AVSREQ-99708 | ELAB_SV | ncelab: *WCUVMPW :: if ncelab could report the faulty scope
AVSREQ-99633 | VHDL_GENERAL | Concerns on the implementation of FINISH
AVSREQ-99506 | COVERAGE_FUNCTIONAL | Request ability to display range of fixed size vector bins
AVSREQ-99389 | ASSERTION_SVF | ILLSVF compilation error with sampled value function $sample
AVSREQ-99326 | DMS_ELAB | Array ports on AOIs cause TYCMPAT error
AVSREQ-99192 | MSIE_ELAB | MSIE : Need to support dot-star in port map at primary boundary
AVSREQ-99189 | SIM_CAPTURE_REPLAY | replay configuration file format lead to interr or error without correct message
AVSREQ-99166 | DMS_LP_AMS | Add create_global_connection for domain mapped nets that are also physically connected at parent level
AVSREQ-99089 | RAND_SOLVER | RNDUNR: when using error_on_oob=0 to workaround out of bounds
AVSREQ-98925 | DMS_ELAB | TYCMPAT error when connecting a bundle of wires to an EEnet port in an iterated instantiation
AVSREQ-98847 | ASSERTION_SVF | xmvlog error ILLSVF when using $sampled inside a final block
AVSREQ-98761 | ASSERTION_SVF | xmvlog: *E,ILLSVF error when using sampled value function $past inside a task
AVSREQ-98623 | ELAB_SV_VHDL | SystemVerilog alias statement doesn't "alias" signal when passed through vhdl instance
AVSREQ-97485 | LP_1801 | Confusing behaviour and errors when SV UPF package source file is not added and compiled manually
AVSREQ-97349 | ELAB_SV_VHDL | Issue in VHDL generics instantiated in Verilog Getting error xmelab: *E,CFIGTC
AVSREQ-97128 | SIMVISION_WAVEFORMS | Create bus from range fails when super bus path contains generated elements
AVSREQ-96583 | PARSE_SV | Shouldn't "ncvlog: *W,POLICI" be an error by default?
AVSREQ-96213 | LP_1801 | Bogus warning for SV import UPF::*
AVSREQ-96200 | ASSERTION_SVA | ALOOMS In Design unit hierarchical reference SV assertions not supported
AVSREQ-96073 | SIMVISION_DB_UTIL | Simvisdbutil -pwrincides option not dumping the indices of array in VCD
AVSREQ-95830 | DMS_ELAB | Implement Analog Spectre/SPICE primitive in array of instances support
AVSREQ-95828 | DMS_ELAB | Implement Analog port connections in array of instances support : xmelab: *E,PCAUNA enhancement
AVSREQ-95660 | COVERAGE_FUNCTIONAL | covergroup constructor checking is too strict
AVSREQ-95608 | ASSERTION_SVA | SVA issues NCNOFA and EMIRR error on automatic var in for-loop
AVSREQ-94869 | SV_PERFORMANCE | xmelab performance issue - checkpoint "after aoi"
AVSREQ-94118 | LP_VPI | Support for isolation on interface modports
AVSREQ-94111 | SIMVISION_TCL | Using "waveform print -page_height_number" TCL command generates an empty .ps file
AVSREQ-93654 | ASSERTION_SVF | sampled value function needs to be supported in procedural code of a task
AVSREQ-93610 | COVERAGE_FUNCTIONAL | Support to use covergroup option/type_option in RHS of an assignment expression.
AVSREQ-93399 | PARSE_SV | INTERNAL EXCEPTION: ncvlog sigsegv on reference to unit scope class from package
AVSREQ-93261 | PARSE_SV | internal error on coverage database creation
AVSREQ-93239 | PARSE_SV | the order of the covergroup definition
AVSREQ-93215 | SV_GENERAL | Part select of concatenation
AVSREQ-93108 | COVERAGE_TOGGLE | ignore coverage of 0->x->0 and 1->x->1
AVSREQ-93088 | ELAB_SV | Unexpected APBKEY/APPDFT on conflict between field and type in assignment pattern
AVSREQ-93014 | COVERAGE_FUNCTIONAL | Show values that make up the ranges in a coverbin
AVSREQ-93013 | ASSERTION_SVF | xmvlog: *E, ILLSVF (../BFMs/I2C/i2c_if.sv, 128|65): Illegal use of sampled value function outside concurrent assertions
AVSREQ-93012 | COVERAGE_FUNCTIONAL | Show values that make up the ranges in a coverbin
AVSREQ-92811 | GLS_SDF | GLS with SDF got internal error(sv_seghandler - trapno -1 addr(0x248b44))
AVSREQ-92753 | SIM_USABILITY | xmsim crashing in user code instead throwing SIGUSR but SIGABRT
AVSREQ-92694 | ASSERTION_SVF | usage of $rose in task not possible: ILLSVF
AVSREQ-92603 | PARSE_SV | call static function defined in compilation unit from package lead to tool failure
AVSREQ-92575 | LP_1801 | find_object to find an output port of an output port of an instance
AVSREQ-92497 | DEPRECATED_CORE_XPROP | Warning for xfile override
AVSREQ-92412 | LP_1801 | LPS tcl find_objects pattern matching doesn't support hier separator
AVSREQ-92369 | DEPRECATED_CORE_XPROP | Add _XM_XP_INSTANCE_INFO type



Download File Size:34 GB


Cadence XCELIUM 20.09.023
€60
Customers who bought this program also bought:

Home| All Programs| Today added Progs| Your Orders| Helpdesk| Shopping cart      





Microsoft Office Pro 2021 €99

             

Microsoft Office 2021 for Mac €99






DxO PhotoLab 5 ELITE €25


SketchUp Pro 2022 €30


Corel Painter 2023 €40






CorelDRAW Graphics Suite 2021.5 for Mac €65

             

Adobe Master Collection 2021 for Mac €260