Home| All soft| Last soft| Your Orders| Customers opinion| Helpdesk| Cart

Program Search:


Shopping Cart:




*Note: Minimum order price: €20
We Recommend:
Aldec Riviera 2006.02 €30 buy download

Riviera is a high-performance ASIC and large FPGA verification
solution. A common kernel simulator supports VHDL, Verilog, EDIF,
SystemC, SystemVerilog, SVA, OVA and PSL in a unified, advanced
debugging environment.
.Extensive Language Support
.Compilation
.Simulation
.Simulation Databases
.Debugging
.Scripting and Batch Processing
.Support for C/C++/SystemC
.Assertion Based Verification
.Coverage
.Profiler
.Partner Interfaces
.64-bit Computing
.Linting

Download File Size:96.75 MB


Aldec Riviera 2006.02
€30
Customers who bought this program also bought:
  • SYNOPSYS SABER 2004 06 SP1 €25
  • Aldec Riviera 2004.12.1684 €30
  • Aldec Active HDL 6.3 (1 cd) €40
  • Aldec Active HDL 6.3 VERILOG Libaraies Addon €30
  • Aldec Active HDL 6.3 VHDL Libaraies Addon €30
  • Aldec Active HDL 6.3 Xilinx Schemetic Libaraies Addon €30
  • Xilinx ISE 8.1i (1 dvd) €50
  • Electronics Workbench Multisim 9.0.155 €25

  • Home| All Programs| Today added Progs| Your Orders| Helpdesk| Shopping cart      





    Adobe Photoshop 2022 €90

                 

    Adobe Illustrator 2022 €75






    DxO PhotoLab 5 ELITE €25


    SketchUp Pro 2022 €30


    Corel Painter 2023 €40






    Orchestral Tools TIME micro KONTAKT €40


    Steinberg HALion 6.4.0.101 STANDALONE €40


    Native Instruments Komplete 12 Ultimate Collectors Edition €50